题目内容 (请给出正确答案)
[主观题]

在空格中填写正确的数据module decoder_using_case () 4’h0 : decoder_out = 16’h0001;

在空格中填写正确的数据module decoder_using_case () 4’h0 : decoder_out = 16’h0001; 4’h1 : decoder_out = 16’h0002; 4’h2 : decoder_out = 16’h0004; 4’h3 : decoder_out = 16’h0008; 4’h4 : decoder_out = 16’h0010; 4’h5 : decoder_out = 16’h0020; 4’h6 : decoder_out = 16’h0040; 4’h7 : decoder_out = 16’h0080; 4’h8 : decoder_out = 16’h ; 4’h9 : decoder_out = 16’h0200; 4’hA : decoder_out = 16’h0400; 4’hB : decoder_out = 16’h0800; 4’hC : decoder_out = 16’h1000; 4’hD : decoder_out = 16’h2000; 4’hE : decoder_out = 16’h4000; 4’hF : decoder_out = 16’h8000; endcase end endendmodule

提问人:网友squalia 发布时间:2022-01-07
参考答案
查看官方参考答案
如搜索结果不匹配,请 联系老师 获取答案
更多“在空格中填写正确的数据module decoder_usin…”相关的问题
第1题
Verilog程序如下,则下列说法中正确的是()。moduleLearn4_2(A,EN,Y)output[7:0]Y;input[2:0]A;i
Verilog程序如下,则下列说法中正确的是()。

moduleLearn4_2(A,EN,Y)output[7:0]Y;input[2:0]A;inputEN;reg[7:0]Y;wire[3:0]temp={A,EN};alwayscase(temp)4’b0001:Y=8’b00000001;4’b0011:Y=8’b00000010;4’b0101:Y=8’b00000100;4’b0111:Y=8’b00001000;4’b1001:Y=8’b00010000;4’b1011:Y=8’b00100000;4’b1101:Y=8’b01000000;4’b1111:Y=8’b10000000;default:Y=8’b1111111;endcaseendmodule

A、当EN=1时,将二进制数A转换为其对应的独热码

B、因为缺少break,程序功能将无法实现

C、当EN=0时将输出全部置为1

D、该程序会生成锁存器

点击查看答案
第2题
已知状态转移图如下: [图] 请在下划线处填写正确的...

已知状态转移图如下:请在下划线处填写正确的代码: module reduce (clk, reset, in, out); input clk, reset, in; output out; parameter S0 = 2’b00; parameter S1 = 2’b01; parameter S2 = 2’b10; reg out; reg [1:0] state; reg [1:0] next_state; always @(posedge clk) if (reset) state = S0; e lse state = next_state; always @(in or state) case (state) …… …… S2: begin if (in) next_state = ; else next_state = S0 ; end …… ……

点击查看答案
第3题
已知状态转移图如下: [图] 请在下划线处填写正确的...

已知状态转移图如下:请在下划线处填写正确的代码: module reduce (clk, reset, in, out); input clk, reset, in; output out; parameter S0 = 2’b00; parameter S1 = 2’b01; parameter S2 = 2’b10; reg out; reg [1:0] state; reg [1:0] next_state; always @(posedge clk) if (reset) state = S0; e lse state = next_state; always @(in or state) case (state) …… …… S1: begin if (in) next_state = S2; else next_state = ; end …… ……

点击查看答案
第4题
已知状态转移图如下: [图] 请在下划线处填写正确的代...

已知状态转移图如下:请在下划线处填写正确的代码: module reduce (clk, reset, in, out); input clk, reset, in; output out; parameter S0 = 2’b00; parameter S1 = 2’b01; parameter S2 = 2’b10; reg out; reg [1:0] state; reg [1:0] next_state; always @(posedge clk) if (reset) state = S0; e lse state = next_state; always @(in or state) case (state) …… …… S1: begin if (in) next_state = S2; else next_state = ; end …… ……

点击查看答案
第5题
已知状态转移图如下: [图] 请在下划线处填写正确的代...

已知状态转移图如下:请在下划线处填写正确的代码: module reduce (clk, reset, in, out); input clk, reset, in; output out; parameter S0 = 2’b00; parameter S1 = 2’b01; parameter S2 = 2’b10; reg out; reg [1:0] state; reg [1:0] next_state; always @(posedge clk) if (reset) state = S0; e lse state = next_state; always @(in or state) case (state) …… …… S2: begin if (in) next_state = ; else next_state = S0 ; end …… ……

点击查看答案
第6题
这段程序输出驱动共阴极数码管,下列叙述中正确的有()。moduleLearn4_1(a,b,c,d,e,f,g,D3,D2,D1,D0);outputa,b,c,d,e,f,g;inputD3,D2,D1,D0;//输入4位BCD码rega,b,c,d,e,f,g;//输出驱动7个笔划段always@(D3orD2orD1orD0)begincase({D3,D2,D1,D0})4'd0:{a,b,c,d,e,f,g}=7'b1111110;4'd1:{a,b,c,d,e,f,g}=7'b0110000;4'd2:{a,b,c,d,e,f,g}=7'b1101101;4'd3:{a,b,c,d,e,f,g}=7'b1111001;4'd4:{a,b,c,d,e,f,g}=7'b0110011;4'd5:{a,b,c,d,e,f,g}=7'b1011011;4'd6:{a,b,c,d,e,f,g}=7'b0011111;4'd7:{a,b,c,d,e,f,g}=7'b1110000;4'd8:{a,b,c,d,e,f,g}=7'b1111111;4'd9:{a,b,c,d,e,f,g}=7'b1110011;default:{a,b,c,d,e,f,g}=7'bx;endcaseendendmodule

A、当D3,D2,D1,D0的输入分别为0,0,1,1时,显示的数字是3

B、数码管显示的字形范围为0至F

C、当数码管的a,b,c点亮时,程序的输入D3,D2,D1,D0分别为0,1,1,1

D、数码管显示的字形范围为0至9

点击查看答案
第7题

依据Mealy型状态机设计过程,选择正确的程序填入空白处:module FSM_1 ( input clk , input rst_n ,input [1:0] in1 ,input [1:0] in2,output reg [1:0] out );param eter S0= 4'b0001, S1= 4'b0010, S2 = 4'b0100, S3= 4'b1000 ,reg [3:0] state ; always@(posedge clk or negedge rst_n)begin if(!rst_n) ; else case(state) S0: begin if(in2==1) ; else out<= 1; if(in1="=1)" state else end s1:begin if(in2="=1)" ...... default: begin:> A、state <= s0;> B、rst_n <= s0;> C、state <= s1;> D、rst_n <= s0; state>

点击查看答案
第8题
以下Case语句中错误的是()

A. Case10 To 1

B. CaseIs>10

C. CaseIs>10,Is<50D. Case3,5,Is>10

点击查看答案
第9题
有如下代码段: switch(a){ case 1:System.out.println("One");break; case 2: case 3:System.out.println("Two");break; default:System.out.println("end"); } 变量a的取值是下列哪些情形时能使程序输出“Two”。

A、1

B、2

C、3

D、default

点击查看答案
第10题
Given the following RISC-V codes ()
点击查看答案
账号:
你好,尊敬的用户
复制账号
发送账号至手机
密码将被重置
获取验证码
发送
温馨提示
该问题答案仅针对搜题卡用户开放,请点击购买搜题卡。
马上购买搜题卡
我已购买搜题卡, 登录账号 继续查看答案
重置密码
确认修改
欢迎分享答案

为鼓励登录用户提交答案,简答题每个月将会抽取一批参与作答的用户给予奖励,具体奖励活动请关注官方微信公众号:简答题

简答题官方微信公众号

警告:系统检测到您的账号存在安全风险

为了保护您的账号安全,请在“简答题”公众号进行验证,点击“官网服务”-“账号验证”后输入验证码“”完成验证,验证成功后方可继续查看答案!

微信搜一搜
简答题
点击打开微信
警告:系统检测到您的账号存在安全风险
抱歉,您的账号因涉嫌违反简答题购买须知被冻结。您可在“简答题”微信公众号中的“官网服务”-“账号解封申请”申请解封,或联系客服
微信搜一搜
简答题
点击打开微信